Problem s VHDL – Číslicová technika – Fórum – Programujte.com
 x   TIP: Přetáhni ikonu na hlavní panel pro připnutí webu

Problem s VHDL – Číslicová technika – Fórum – Programujte.comProblem s VHDL – Číslicová technika – Fórum – Programujte.com

 

marpit0
Stálý člen
8. 1. 2011   #1
-
0
-

Zdravím, mám tento kod (jedná se o přepínač):

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity prepinac is
Port ( VSTUP1: in STD_LOGIC_VECTOR (6 downto 0);
VSTUP2: in STD_LOGIC_VECTOR (6 downto 0);
VYBER: in STD_LOGIC;
VYSTUP: out STD_LOGIC_VECTOR (6 downto 0));
end prepinac;

architecture arch_prepinac of prepinac is

begin

if (VYBER = '1') then
VYSTUP <= VSTUP2;
else
VYSTUP <= VSTUP1;
end if;

end arch_prepinac;

a při kompilaci to vyhodí následující chybu:

ISE Auto-Make Log File

-----------------------

Updating: Check Syntax

Starting: 'exewrap @__prepinac_vhd2sprj_exewrap.rsp'


Creating TCL Process
Done: completed successfully.

Starting: 'exewrap -mode pipe -tapkeep -command C:/xilinx_webpack/bin/nt/xst.exe -ifn prepinac.xst -ofn prepinac.stx'


Starting: 'C:/xilinx_webpack/bin/nt/xst.exe -ifn prepinac.xst -ofn prepinac.stx '


Release - xst E.33
Copyright (c) 1995-2001 Xilinx, Inc. All rights reserved.
--> Parameter TMPDIR set to .
CPU : 0.00 / 0.03 s | Elapsed : 0.00 / 0.00 s

--> Parameter overwrite set to YES
CPU : 0.00 / 0.03 s | Elapsed : 0.00 / 0.00 s

--> Compiling vhdl file D:/WebPack_projekty/garaz/prepinac.vhd in Library work.
ERROR:HDLParsers:163 - D:/WebPack_projekty/garaz/prepinac.vhd Line 17. Unexpected symbol read: IF.
CPU : 0.02 / 0.05 s | Elapsed : 0.00 / 0.00 s

-->
EXEWRAP detected a return code of '1' from program 'C:/xilinx_webpack/bin/nt/xst.exe'

Done: failed with exit code: 0001.


Může mi někdo poradit, kde je chyba? Díky moc

Nahlásit jako SPAM
IP: 94.113.183.–
MarPit
Jarda
~ Anonymní uživatel
199 příspěvků
26. 1. 2011   #2
-
0
-

Pokud je mi známo IF THEN je možno použít jen v procesu, použijte CASE WHEN

Nahlásit jako SPAM
IP: 188.115.161.–
Zjistit počet nových příspěvků

Přidej příspěvek

Toto téma je starší jak čtvrt roku – přidej svůj příspěvek jen tehdy, máš-li k tématu opravdu co říct!

Ano, opravdu chci reagovat → zobrazí formulář pro přidání příspěvku

×Vložení zdrojáku

×Vložení obrázku

Vložit URL obrázku Vybrat obrázek na disku
Vlož URL adresu obrázku:
Klikni a vyber obrázek z počítače:

×Vložení videa

Aktuálně jsou podporována videa ze serverů YouTube, Vimeo a Dailymotion.
×
 
Podporujeme Gravatara.
Zadej URL adresu Avatara (40 x 40 px) nebo emailovou adresu pro použití Gravatara.
Email nikam neukládáme, po získání Gravatara je zahozen.
-
Pravidla pro psaní příspěvků, používej diakritiku. ENTER pro nový odstavec, SHIFT + ENTER pro nový řádek.
Sledovat nové příspěvky (pouze pro přihlášené)
Sleduj vlákno a v případě přidání nového příspěvku o tom budeš vědět mezi prvními.
Reaguješ na příspěvek:

Uživatelé prohlížející si toto vlákno

Uživatelé on-line: 0 registrovaných, 1 host

Podobná vlákna

Vhdl — založil crash969

VHDL — založil crash969

 

Hostujeme u Českého hostingu       ISSN 1801-1586       ⇡ Nahoru Webtea.cz logo © 20032024 Programujte.com
Zasadilo a pěstuje Webtea.cz, šéfredaktor Lukáš Churý